Simularea unei intersecții semaforizate folosind VHDL

Previzualizare laborator:

Extras din laborator:

Obiective:

Dupa terminarea acestui exercitiu de laborator se dobandesc cunostintele necesare pentru a

proiecta, simula, si implementa un semafor de trafic folosind VHDL.

Referinte:

Dueck Robert K., „Digital Design with CPLD Applications and VHDL”.

Capitolul 10: Designul masinii algoritmice de stare

10.3 Masini algoritmice de stare cu semnale de control

10.6 Semaforul de trafic

Materiale necesare:

FPGA Spartan 3

Xilinx ISE

Modeltech ModelSim

Note experimentale:

Proiectarea masinii algoritmice de stare consta intr-un circuit secvential sincron a carui

stare progreseaza in functie de proiectul mostenit al masinii si posibil in conformitate cu starea

unui semnal de control.

O masina algoritmica de stare, comuna, este semaforul de trafic.O diagrama de stare si o

descriere a operarii acestei masini este data in sectiunea 10.6 a manualului „Digital Design with

CPLD Applications and VHDL”.

Procedeul experimental:

Un semafor de trafic simplu poate fi implementat cu ajutorul unei masini algoritmice de

stare care are o diagrama de stare asemanatoare celei din figura 30.1.

Circuitul detine control asupra drumului Nord-Sud si al celui Est-Vest. Luminile de la NS

sunt controlate cu ajutorul iesirilor nsr, nsy si nsg(NS rosu, NS galben, NS verde). Drumul EV este

controlat cu ajutorul iesirilor evr, evy si evg, intr-un mod similar.

Ciclul este controlat cu ajutorul unei intrari denumite TIMER(cronometru) care controleaza

lungimea a doua cicluri de verde (s0 reprezinta EV verde; s2 reprezinta NS verde). Cand

cronometrul TIMER=1, o translatie de la s0 la s1 sau de la s2 la s3 este posibila. Aceasta translatie

este acompaniata de o schimare a culorii semaforului de la verde la galben, pe drumul activ.

Figura 30.1 Schema de stare pentru un semafor de trafic

Semaforul de pe celalat drum ramane rosu. O translatie neconditionata urmeaza, schimband

lumina semaforului din galben in rosu pe un drum iar pe celalat lumina rosie devine verde.

Iesirile din diagrama de stare a figurei 30.1 sunt indicate ca fiind 0-logic-activ, care este

potrivit pentru placile Spartan 3.

Ciclul poate fi setat sa aiba orice durata prin schimbarea semnalului dat de intrarea TIMERului.

Lumina galbena a semaforului va fi aprinsa, indiferent de setari timp de un puls de ceas.

Pentru fiecare observatie vom folosi un ciclu de 10 implusuri de ceas pentru fiecare drum:

• 4 impulsuri verde

• 1 impuls galben

• 5 impulsuri rosu

Download gratuit

Documentul este oferit gratuit,
trebuie doar să te autentifici in contul tău.

Structură de fișiere:
  • Simularea unei Intersectii Semaforizate Folosind VHDL.pdf
Alte informații:
Tipuri fișiere:
pdf
Nota:
8/10 (1 voturi)
Nr fișiere:
1 fisier
Pagini (total):
21 pagini
Imagini extrase:
21 imagini
Nr cuvinte:
1 473 cuvinte
Nr caractere:
9 487 caractere
Marime:
1.27MB (arhivat)
Publicat de:
NNT 1 P.
Nivel studiu:
Facultate
Tip document:
Laborator
Domeniu:
Transporturi
Predat:
la facultate
Materie:
Transporturi
Sus!