Laboratoare VHDL

Previzualizare laborator:

Extras din laborator:

Programarea structurilor hardware reconfigurabile

de tip FPGA cu XILINX ISE

1. SCOPUL LUCRĂRII

În această lucrare sunt prezentaţi paşii de lucru în vederea implementării

programelor scrise prin limbajul VHDL pe structura reconfigurabilă FPGA de tip

SPARTAN 3 cu pachetul software de dezvoltare XILINX ISE. Pe scurt sunt

abordate următoarele etape: introducerea codului VHDL, simularea şi vizualizarea

rezultatelor obţinute sinteza şi implementarea surselor iar în final programarea

structurii reconfigurabile.

2. INTRODUCERE TEORETICĂ

Mediul software de proiectare XILINX ISE (Integrated Software

Environment) este utilizat în realizarea şi implementarea completă a unui proiect

pentru structurile programabile de tip XILINX. Componenta software ISE Project

Navigator facilitează crearea proiectului organizându-l pe următoarele etape:

- Descriere proiect. Programatorul are posibilitatea să descrie proiectul prin

introducerea de coduri sursă HDL (Hardware Description Language) pentru

limbajele VHDL, Verilog, Abel sau utilizând schematice şi diagrame cu stări

finite;

- Sinteza. În cadrul acestei etape fişierele de tip VHDL, Verilog sau schematice

sunt transformate într-un fişiere de tip netlist care sunt acceptate ca fişiere de

intrare la etapa de implementare;

- Implementarea. După sinteză, la implementare, proiectul este adaptat şi

transformat din forma logică digitală la forma tehnologică implementabilă în

structura reconfigurabilă aleasă;

- Verificarea. Poate fi realizată în toate etapele de realizare a proiectului.

Utilizarea componentelor software de simulare conduc la verificarea completă

a funcţionalităţii proiectului sau a unor porţiuni de proiect. De asemenea pot fi

realizate şi verificări direct pe circuit după programarea acestuia;

- Configurarea. După generarea fişierelor de programare (bitstream file)

proiectantul are posibilitatea programării circuitului reconfigurabil. În timpul

procesului de configurare sunt programate interconexiunile structurii FPGA

alese.

În vederea exemplificării a implementării unui modul digital pe o structură de tip

FPGA, este ales un exemplu concret.

2.1. Enunţ exemplu

Sunt descrise etapele de implementare ale unui modul digital numărător

binar pe 4 biţi în limbajul VHDL pe sistemul reconfigurabil cu circuitul FPGA de

tip SPARTAN3 din cadrul laboratorului. Porturile de intrare/ieşire ale modulului

digital sunt date în figura următoare.

Acest modul digital va fi verificat în schemă electrică din figura de mai jos.

Această schemă este o mică parte din schema electrică a sistemului reconfigurabil

de dezvoltare cu SPARTAN 3:

Notă: Consultaţi schema electrică completă a sistemului de dezvoltare şi

identificaţi pe aceasta elementele de circuit ale schemei de mai sus.

Pinii de interconectare ai structurii FPGA cu modulul digital descris în

VHDL sunt scrişi cu bold (Y6, Y17, C6, B8, E7 şi C5).

Primul pas în realizarea acestui modul digital este crearea proiectului.

Download gratuit

Documentul este oferit gratuit,
trebuie doar să te autentifici in contul tău.

Structură de fișiere:
  • Laboratoare VHDL
    • Laborator_1.pdf
    • Laborator_2.pdf
    • Laborator_3.pdf
    • Laborator_4.pdf
    • Laborator_5.pdf
    • Laborator_6.pdf
    • Laborator_7.pdf
Alte informații:
Tipuri fișiere:
pdf
Nota:
8.3/10 (3 voturi)
Nr fișiere:
7 fisiere
Pagini (total):
144 pagini
Imagini extrase:
144 imagini
Nr cuvinte:
28 723 cuvinte
Nr caractere:
158 352 caractere
Marime:
2.20MB (arhivat)
Publicat de:
NNT 1 P.
Nivel studiu:
Facultate
Tip document:
Laborator
Domeniu:
Electronică
Predat:
la facultate
Materie:
Electronică
Profesorului:
Iana Gabriel
Sus!